Senin, 25 Oktober 2010

Program D Flip-Flop pada VHDL

NAMA : PERDANA PRIN PUTRA.S
NPM : 22108302
KELAS : 3.KB.05
Tugas : FPGA



Sebuah contoh program vhdl dari D flip-flop edge triggered
positif dengan asynchronous Reset:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’; elsif (CLK’event and CLK = ‘1’) then Q <= D; end if; end process; end BEHAV_DFF;

Library dan Package pada ieee,std dan work

NAMA : PERDANA PRIN PUTRA.S
NPM : 22108302
KELAS : 3.KB.05
Tugas : FPGA


  • Library IEEE :

use IEEE.std_logic_1164.all;

use IEEE.std_logic_textio.all;

use IEEE.std_logic_arith.all;

use IEEE.numeric_bit.all;

use IEEE.numeric_std.all;

use IEEE.std_logic_signed.all;

use IEEE.std_logic_unsigned.all;

use IEEE.math_real.all;

use IEEE.math_complex.all;



  • Library STD :

use STD.standard.all;

use STD.textio.all;



  • Library WORK :

Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan
ke library tersebut.



contoh:

use WORK.data_types.all;

dll.

Jumat, 01 Oktober 2010

Kata Baku Dan Tidak Baku

Tugas Bahasa Indonesia 1

NAMA : PERDANA PRIN PUTRA.S
NPM : 22108302
KELAS : 3.KB.05
B.STUDY : BAHASA INDONESIA 1.

*Mencari 100 kata Baku dan Tidak Baku

Kata Baku Dan Tidak Baku

1. aktif = aktip
2. ambulans = ambulan
3. analisa = analisis
4. andal = handal
5. anggota = angauta
6. antre = antri
7. apotik = apotek
8. asas = azas
9. atlet = atlit
10.bus = bis

11. berpikir = berfikir
12. cabai = cabe, cabay
13. cenderamata = cinderamata
14. daftar = daptar
15. definisi = difinisi
16. depot = depo
17. detail = detil
18. diagnosis = diagnosa
19. diferensial = differensial
20. dipersilakan = dipersilahkan

21. disahkan = disyahkan
22. ekspor = eksport
23. ekstrem = ekstrim
24. ekuivalen = ekwivalen
25. embus = hembus
26. esai = esei
27. formal = formil
28. februari = pebruari
29. fiologi = phiologi
30. fisik = phisik

31. foto = photo
32. fondasi = pondasi
33. frekuensi = frekwensi
34. hafal = hapal
35. hakikat = hakekat
36. hierarki = hirarki
37. hipotesis = hipotesa
38. insaf = insyaf
39. ikhlas = ihlas
40. impor = import

41. istri = isteri
42. ijazah = ajasah, ijasah
43. izin = ijin
44. imbau = himbau
45. isap = hisap
46. jaman = zaman
47. jenazah = jenasah
48. justru = justeru
49. karier = karir
50. kaidah = kaedah

51. kategori = katagori
52. khotbah = khutbah
53. konferesi = konperensi
54. kongres = konggres
55. kompleks = komplek
56. kualifikasi = kwalifikasi
57. kualitas = kwalitas
58. kuantitatif = kwantitatif
59. koordinasi = koordinir
60. manajemen = menejemen

61. manajer = menejer
62. masalah = masaalah
63. masjid = mesjid
64. merek = merk
65. meterai = meterei
66. metode = metoda
67. miliar = milyar
68. misi = missi
69. mulia = mulya
70. mungkir = pungkir

71. museum = musium
72. narasumber = nara sumber
73. nasihat = nasehat
74. November = Nopember
75. objek = obyek
76. objektif = obyektif
77. paspor = pasport
78. peduli = perduli
79. praktik = praktek
80. provinsi = propinsi

81. putra = putera
82. profesor = proffesor
83. ramadhan = ramadan
84. risiko = resiko
85. saraf = syaraf
86. sekadar = sekedar
87. silakan = silahkan
88. sistem = sistim
89. saksama = seksama
90. standardisasi= standarisasi

91. subjek = subyek
92. subjektif = subyektif
93. teknik = tehnik
94. teknologi = tehnologi
95. terampil = trampil
96. telantar = terlantar
97. ubah = rubah
98. utang = hutang
99. varietas = varitas
100. zaman = jaman

Aku Cinta Bahasa Indonesia

Tulisan Bahasa Indonesia 1

NAMA : PERDANA PRIN PUTRA.S
NPM : 22108302
KELAS : 3.KB.05
B.STUDY : BAHASA INDONESIA 1.

Aku Cinta Bahasa Indonesia

Sebagai warga indonesia ,saya harus memang benar-benar memahami bagaimana cara berbahasa indonesia itu sebenarnya,karena kita sebagai warga indonesia masih banyak yang tidak mengerti bagaimana cara berbahasa indonesia yang benar dan yang sesuai
dengan kaidah bahasa indonesia itu sendiri atau sesuai dengan EYD (ejaan yang disempurnakan) dalam berbahasa indonesia.

Oleh sebab itu di dalam dunia pendidikan yang ada di indonesia ini ,walaupun sudah mencapai pendidikan yang tinggi,akan tetapi untuk mempelajari bahasa indonesia itu selalu ada saja.Karena penting sekali untuk dalam kegiatan sekolah maupun
dalam perguruan tinggi manapun yang ada di indonesia ,begitu juga dalam dunia kerja.Supaya dapat menggunakan bahasa indonesia itu yang sesuai dengan kaidah bahasa indonesia tersebut.

Dan sebagai warga indonesia ,saya memang harus benar-benar cinta dengan bahasa indonesia itu sediri karena itu adalah bahasa yang digunakan oleh negara indonesia ini.Oleh sebab itu bahasa indonesia penting dipelajari supaya dapat berbahasa indonesia dengan kaidah bahasa indonesia itu sendiri yang telah ditetapkan oleh negara indonesia.