Rabu, 22 Desember 2010

Tugas FPGA : 7 SEGMENT

NAMA : PERDANA PRIN PUTRA.S
NPM : 22108302
KELAS : 3.KB.05
Tugas : FPGA


Seven segment adalah merupakan menampilkan 7 LED yang ada dan dengan seven segment kita dapat menampilkan angka pada seven segment tersebut.Seven segment sering kita jumpai dalam kehidupan kita sehari-hari,contohnya seperti kita berada di dalam perjalanan dan kita banyak sekali melihat hiasan sepanjang jalan raya dengan kata-kata ataupun angka yang secara bergantian ataupun iklan - iklan yang ada di tempat keramaian,itu semua banyak menggunakan seven segment.ntuk menampilkan bilangan numerik perlu diaktifkan pin-pin atau kaki dari seven segmen, agar tampilannya sesuai bilangan numerik yang kita inginkan. Seven segment ada dua macam, yaitu Seven segment common cathoda dan seven segment common anoda.


















Dimana cara kerja pada seven segment tersebut jika kita ingin menyalakan angka 1 maka yang aktif pada seven segment tersebut adalah,b dan c,setelah itu jika ingin menyalakan angka 2 maka yang aktif adalah,a,b,d,e,dan g,setelah itu jika ingin menyalakan angka 3 maka yang aktif adalah,a,b,c,d,dan g,setelah itu jika ingin menyalakan angka 4 maka yang aktif adalah b,c,f,dan g,setelah itu jika ingin mengaktifkan angka 5 maka yang aktif adalah a,c,d,f dan g.Seperti inilah contoh bagaimana seven segment itu bekerja dan jika kita ingin menyalakan angka itu juga hanya beberapa saja seven segment tersebut yang akan aktif.